Verilog HDL: Switch-level Modeling

Mos  switch  keywords :
->nmos(output,data,control)
->pmos(output,data,control)
->cmos(output,data,ncontrol,pcontrol);          
   
Bidirectional  switch  keywords :
->trans (inout1,inout2);
->tranif0(inout1,inout2,control);
->tranif1(inout1,inout2,control);

Power  and  Ground  Keywords :
->supply1     //vdd
->supply0     //vss  or  GND

Resistive  Switch  Keywords :
->rnmos       //resistive  nmos
->rpmos       //resistive  pmos
->rcmos
->rtrans
->rtranif0
->rtranif1

No comments:

Post a Comment

Your Comments... (comments are moderated)